Echo

Echo 关注TA

大家好,我是Echo!

Echo

Echo

关注TA

大家好,我是Echo!

  •  普罗旺斯
  • 自由职业
  • 写了300,428,934字

标签 > 标签文章:#背面供电# (共有5文章)

  • 三星电子介绍 BSPDN 背面供电技术收益:可减少 17% 尺寸,提升 15% 能效

    三星电子介绍 BSPDN 背面供电技术收益:可减少 17% 尺寸,提升 15% 能效
    感谢IT之家网友西窗旧事的线索投递!IT之家8月23日消息,综合韩媒TheElec与Hankyung报道,三星电子负责晶圆代工PDK开发团队的高级副总裁LeeSun-Jae昨日在西门子EDA论坛2024首尔场上介绍了BSPDN背面供电网络技术的收益情况。LeeSun-Jae表示,相较于采用传统FSPDN供电方式的2nm工艺,采用BSPDN的SF2Z节点可明显改善电路压降问题。具体到数据上,其可减少

     66    0    2024-08-23 15:03

  • 三星电子宣布其首个背面供电工艺节点 SF2Z 将于 2027 年推出

    三星电子宣布其首个背面供电工艺节点 SF2Z 将于 2027 年推出
    IT之家6月13日消息,三星电子在北京时间今日凌晨举行的三星代工论坛2024北美场上宣布,其首个采用BSPDN(背面供电网络)的制程节点SF2Z将于2027年推出。BSPDN技术将芯片的供电网络转移至晶圆背面,与信号电路分离。此举可简化供电路径,大幅降低供电电路对互联信号电路的干扰。三大先进制程代工厂目前均将背面供电视为工艺下一步演进的关键技术:英特尔将于今年率先在其Intel20A制程开始应用其

     83    0    2024-06-13 09:18

  • 台积电宣布背面供电版 N2 制程 2025 下半年向客户推出,2026 年量产

    IT之家4月25日消息,台积电在近日公布的2023年报中表示,其背面供电版N2制程节点定于2025下半年向客户推出,2026年实现正式量产。台积电表示其N2制程将引入其GAA技术实现——纳米片(Nanosheet)结构,在性能和能效方面都提升一个时代,预计于2025年启动量产。而引入背面电轨(BacksidePowerRail)方案的N2衍生版本“最适用于高效能运算相关应用”,将在标准版N2后投入

     140    0    2024-04-25 11:50

  • 消息称三星背面供电芯片测试结果良好,有望提前导入

    消息称三星背面供电芯片测试结果良好,有望提前导入
    IT之家2月28日消息,据韩媒Chosunbiz报道,三星电子近日在背面供电网络(BSPDN)芯片测试中获得了好于预期的成果,有望提前导入未来制程节点。传统芯片采用自下而上的制造方式,先制造晶体管再建立用于互连和供电的线路层。但随着制程工艺的收缩,传统供电模式的线路层越来越混乱,对设计与制造形成干扰。BSPDN技术将芯片供电网络转移至晶圆背面,可简化供电路径,解决互连瓶颈,减少供电对信号的干扰,最

     174    0    2024-02-28 15:14

  • 英特尔介绍最新 PowerVia 背面供电技术:降低功耗、提升效率和性能

    英特尔介绍最新 PowerVia 背面供电技术:降低功耗、提升效率和性能
    IT之家8月3日消息,英特尔今日发文介绍了 PowerVia背面供电技术,该技术可帮助实现降低功耗、提升效率和性能,满足不断增长的算力需求。此外,背面供电技术提高了设计的简易性。IT之家附英特尔PowerVia背面供电技术官方介绍:Intel20A将是英特尔首个采用PowerVia背面供电技术及RibbonFET全环绕栅极晶体管的节点,预计将于2024年上半年实现生产准备就绪,应用于未来

     174    0    2023-08-03 16:51

  • 1